怎样用74LS153设计一个一位全加器

如题所述

用74LS153设计一个一位全加器,方法如下:

1.首先根据全加器真值表,写出和S、高位进位C1的逻辑函数:S=A⊕B⊕C0;

2.A1、A0作为两个输入变量即加数和被加数A、B,D0~D3作为第三个输入变量即低位进位C0,

1Y为全加器的和S,2Y为全加器的高位进位C1,于是就可以令数据选择器的输入为:

A1=A,A0=B,1DO=1D3=C0,1D1=1D2=C0反,2D0=0,2D3=1,2D1=2D2=C0,1Q=S1,

2Q=C1;

3.根据对应的管脚连接电路。

图:一位全加器原理图

扩展资料:

一位全加器的逻辑函数:S=A⊕B⊕Cin,Co=ACin+BCin+AB;

其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输出。

如果要实现多位加法可以进行级联,就是串起来使用,比如:32位+32位,就需要32个全加器,这

种级联就是串行结构速度慢;如果要并行快速相加可以用超前进位加法;超前进位加法前查阅相关

资料;

如果将全加器的输入置换成A和B的组合函数Xi和Y(S0…S3控制),然后再将X,Y和进位数通过全加

器进行全加,就是ALU的逻辑结构结构,即 :

X=f(A,B);

Y=f(A,B)。

不同的控制参数可以得到不同的组合函数,因而能够实现多种算术运算和逻辑运算

温馨提示:答案为网友推荐,仅供参考
第1个回答  2023-02-24

用 74LS153 设计一个一位全加器。

------------------

1. 根据全加器的功能要求,写出真值表。

 全加器功能: C_S = X + Y + Z。

 真值表,放在插图中了。

 (用数据选择器设计时,卡诺图、化简、逻辑表达式,都是不需要的。)

2. 选定输入输出接口端。

 A、B,连接两个输入变量 Y、Z;

 D0~D3,用于连接输入变量 X;

 1Y,作为和的输出端 S;

 2Y,作为进位的输出 C。

3. 分析真值表,确定各数据端的输入。

 S:

  YZ=00 时,S 等于 X,所以,应把 X 接到 1X0;

  YZ=01 时,S 等于 /X,所以,应把 /X 接到 1X1;

  YZ=10 时,S 等于 /X,所以,应把 /X 接到 1X2;

  YZ=11 时,S 等于 X,所以,应把 X 接到 1X3。

 C:

  YZ=00 时,C 等于 0;

  YZ=01 时,C 等于 X;

  YZ=10 时,C 等于 X;

  YZ=11 时,C 等于 1。

4. 画出逻辑图。

 根据前面的分析,除了 74LS153,还需要一个非门。


用 153 设计电路,在分析各个输入端是什么信号时,只需使用真值表。

由于不是用逻辑门设计电路,卡诺图、逻辑表达式,就都是不需要的。

有人,列出了“全加器的逻辑表达式”,明显是冒充内行。

第2个回答  推荐于2017-11-24
根据全加器真值表,可写出和S,高位进位CO的逻辑函数。
A1A0作为两个输入变量,即加数和被加数A、B,D0~D3为第三个输入变量,即低位进位CI,1Y为全加器的和S,2Y全加器的高位进位CO,则可令数据选择器的输入为:A1=A,A0=B,1DO=1D3=CI,1D1=1D2=CI反,2D0=0,2D3=1,2D1=2D2=CI,1Q=S1,2Q=CO;
可以根据管脚所对应的连接电路本回答被网友采纳
相似回答